`
lantian_123
  • 浏览: 1360201 次
  • 性别: Icon_minigender_1
  • 来自: 广州
社区版块
存档分类
最新评论

每日一Vim(15)折叠(fold)

vim 
阅读更多

每日一Vim(15)折叠(fold)

抱歉,今天的文章可能写的很难懂,还请大家多多包涵。
写程序遇到大段的代码时,如果想像Eclipse一样把函数都折叠起来,只显示函数的签名,或者折叠一个函数中小段代码,这样一来,整个文件的代码结构一览无余,非常清晰,如果要查看函数里面的具体内容就打开,对程序员来说这是很实用的技巧。

Vim用命令foldmethod实现折叠功能,一共有六种折叠方式,可以用:set foldmethod查看当前session用的是哪种折叠,默认vim使用manual(手动)方式。

manual

手工折叠是最基本的折叠方式,在处理小块文件的时候简单实用。

zf   创建折叠(fold creation)
zo   打开折叠(open)
zc   再次折叠起来(close)

举例说明:

v{motion}zf  折叠V模式下选中的文本。(这里的v{motion}指的是Shift+v)。
zf\`a    折叠当前光标处到标记a处的文本 (`ma`就表示在当前光标出做a标记)      
zf3j     折叠当前光标出下3行
zf10G    从当前行折叠至第20行
zfgg     折叠至行首
zf%      光标移至'{'时,vim会去匹配'}',这样'{}'之间的内容就可以折叠起来

indent

vim自动根据缩进折叠,缩进量与折叠行的嵌套深度关系有shiftwidth控制,通过设置:set foldlevel=num,num代表数字。foldlevel=0时关闭所有折叠,等价于zMzR设置折行为最大值

分享到:
评论
1 楼 isensen 2013-03-29  
前天就没更新.今天 又没更新..你堕落了.

相关推荐

    matlab代码续行-vim-matlab-fold:Matlab文件的Vim代码折叠

    matlab代码续行vim-matlab折叠 Matlab .m语法文件的Vim编辑器的代码折叠。 便于折叠以%%开头的任何缩进的matlab代码或编辑器单元格。 折痕基于代码中的缩进结构,以尊重end关键字和以...结尾的行继续... 。 因此,...

    vim-flexagon:灵活实用的vim折叠切换器

    Flexagon,一种 Vim 折叠方法方法管理器vims 折叠的包装器可以在各种折叠方案和一些有用的预包装方案之间轻松切换,所有这些都旨在轻松发现和轻松切换。 Flexagon 还提供了一些自定义折叠展示和“气泡”插件映射来...

    vim-anyfold:与语言无关的vim插件,用于基于缩进进行折叠和运动

    vim-anyfold:与语言无关的vim插件,用于基于缩进进行折叠和运动

    vimish-fold:Emacs的类似Vim的文本折叠

    这个软件包可以像Vim一样执行文本折叠。 它具有以下功能: 折叠活动区域; 良好的视觉反馈:很明显,文本的哪一部分被折叠; 从{{{ }}}之间的区域自动创建折叠(标记可自定义); 默认情况下是持久性的:杀死...

    FastFold:仅在需要时才通过更新折叠来加速Vim

    自动折叠(即,通过不同于manual的折叠方法生成的折叠)会在插入模式下使VIM停滞不前。 它们也经常被过早地重新计算(例如,当插入一个打开的折叠标记时,其关闭对应的标记还没有丢失以完成折叠)。 有关讨论,请...

    Vim/Gvim配置文件+配色方案_By Marslo

    自动载入保存fold(折叠)信息 --------------------------------------------------------------- tl: 显示taglist wm:显示winmanager ,v: 打开配置文件 ,te: 打开俄罗斯方块(熟悉HJKL键) tv: 在gvim中打开...

    vim插件和配置 for Linux 自动补全 IDE

    set foldlevel=100 "启动vim时不要自动折叠代码 set foldcolumn=5 "设置折叠栏宽度 常用命令: za 打开/关闭在光标下的折叠 zA 循环地打开/关闭光标下的折叠 zc 关闭close在光标下的折叠 zo 打开在光标下的折叠 ...

    verilog_systemverilog.vim:VerilogSystemVerilog语法和全完成

    用于Verilog和SystemVerilog的Vim语法插件关于基于最初在以下位置找到的脚本:重要通知3.0版审查了此插件中使用的配置变量。 因此,请考虑以下变量已被弃用并且不再受支持: b:verilog_indent_modules b:verilog_...

    vimrc--2014-10-06

    "=============vimrc info===========..." (11)plugin 代码折叠fold " (12)plugin 项目目录数管理器Project " (13)plugin 提示函数原型echofunc "=============vimrc info============================================

    发展环境

    开发环境和工具 ...运行git vimdiff [file]并查看VIM中的更改。 您仍然可以使用常规的git diff命令来获取补丁输出。 参考: : Vimdiff的一些热键 折叠 zo -> open fold zc -> close fold 逃犯 :Glog --one

    Jump-Fold-setting-C

    gsf:跳到func的开头。 gef:跳到func的末尾。 z {,z [,z(:创建折叠。

Global site tag (gtag.js) - Google Analytics